TODO note re testing of NaNs

This commit is contained in:
Tony Garnock-Jones 2019-07-10 21:52:29 -04:00
parent 7933e34766
commit 2b1e2f2fba
1 changed files with 2 additions and 0 deletions

View File

@ -9,6 +9,8 @@ mod ieee754_section_5_10_total_order_tests {
fn f(val: f32) -> Value { Value::from(val) }
fn d(val: f64) -> Value { Value::from(val) }
// TODO: Test cases with a few different signalling and non-signalling NaNs
#[test] fn case32_a_1() { assert_eq!(f(1.0).cmp(&f(2.0)), Less) }
#[test] fn case32_a_2() { assert_eq!(f(-1.0).cmp(&f(1.0)), Less) }
#[test] fn case32_a_3() { assert_eq!(f(0.0).cmp(&f(1.0)), Less) }